PoC.fifo.glue
Its primary use is the decoupling of enable domains in a processing
pipeline. Data storage is limited to two words only so as to allow both
the ful
and the vld
indicators to be driven by registers.
Entity Declaration:
1entity fifo_glue is
2 generic (
3 D_BITS : positive -- Data Width
4 );
5 port (
6 -- Control
7 clk : in std_logic; -- Clock
8 rst : in std_logic; -- Synchronous Reset
9
10 -- Input
11 put : in std_logic; -- Put Value
12 di : in std_logic_vector(D_BITS-1 downto 0); -- Data Input
13 ful : out std_logic; -- Full
14
15 -- Output
16 vld : out std_logic; -- Data Available
17 do : out std_logic_vector(D_BITS-1 downto 0); -- Data Output
18 got : in std_logic -- Data Consumed
19 );
20end entity fifo_glue;