PoC.arith.counter_gray

Todo

No documentation available.

Entity Declaration:

 1entity arith_counter_gray is
 2  generic (
 3    BITS : positive;                              -- Bit width of the counter
 4    INIT : natural        := 0                    -- Initial/reset counter value
 5  );
 6  port (
 7    clk : in  std_logic;
 8    rst : in  std_logic;                          -- Reset to INIT value
 9    inc : in  std_logic;                          -- Increment
10    dec : in  std_logic   := '0';                 -- Decrement
11    val : out std_logic_vector(BITS-1 downto 0);  -- Value output
12    cry : out std_logic                           -- Carry output
13  );
14end entity arith_counter_gray;