PoC.arith.convert_bin2bcd

Todo

No documentation available.

Entity Declaration:

 1  generic (
 2    BITS          : positive    := 8;
 3    DIGITS        : positive    := 3;
 4    RADIX         : positive    := 2
 5  );
 6  port (
 7    Clock         : in  std_logic;
 8    Reset         : in  std_logic;
 9
10    Start         : in  std_logic;
11    Busy          : out std_logic;
12
13    Binary        : in  std_logic_vector(BITS - 1 downto 0);
14    IsSigned      : in  std_logic                             := '0';
15    BCDDigits     : out T_BCD_VECTOR(DIGITS - 1 downto 0);
16    Sign          : out std_logic
17  );
18end entity;