PoC.arith.convert_bin2bcd
Todo
No documentation available.
Entity Declaration:
1entity arith_convert_bin2bcd is
2 generic (
3 BITS : positive := 8;
4 DIGITS : positive := 3;
5 RADIX : positive := 2;
6 REGISTER_OUTPUT : boolean := FALSE
7 );
8 port (
9 Clock : in std_logic;
10 Reset : in std_logic;
11
12 Start : in std_logic;
13 Busy : out std_logic;
14
15 Binary : in std_logic_vector(BITS - 1 downto 0);
16 IsSigned : in std_logic := '0';
17 BCDDigits : out T_BCD_VECTOR(DIGITS - 1 downto 0);
18 Sign : out std_logic
19 );