PoC.io.uart.rx

UART Receiver: 1 Start + 8 Data + 1 Stop

Entity Declaration:

 1entity uart_rx is
 2  generic (
 3    SYNC_DEPTH : natural := 2  -- use zero for already clock-synchronous rx
 4  );
 5  port (
 6    -- Global Control
 7    clk : in std_logic;
 8    rst : in std_logic;
 9
10    -- Bit Clock and RX Line
11    bclk_x8 : in std_logic;   -- bit clock, eight strobes per bit length
12    rx      : in std_logic;
13
14    -- Byte Stream Output
15    do  : out std_logic_vector(7 downto 0);
16    stb : out std_logic
17  );
18end entity;