PoC.io.FrequencyCounter
Todo
No documentation available.
Entity Declaration:
1 generic (
2 CLOCK_FREQ : FREQ := 100 MHz;
3 TIMEBASE : T_TIME := 1.0;
4 RESOLUTION : positive := 8
5 );
6 port (
7 Clock : in std_logic;
8 Reset : in std_logic;
9 FreqIn : in std_logic;
10 FreqOut : out std_logic_vector(RESOLUTION - 1 downto 0)
11 );
12end entity;