PoC.io.uart.fifo
Small FIFO s are included in this module, if larger or asynchronous transmit / receive FIFOs are required, then they must be connected externally.
- old comments:
UART BAUD rate generator bclk = bit clock is rising bclk_x8 = bit clock times 8 is rising
Entity Declaration:
1 generic (
2 -- Communication Parameters
3 CLOCK_FREQ : FREQ;
4 BAUDRATE : BAUD;
5 ADD_INPUT_SYNCHRONIZERS : boolean := TRUE;
6
7 -- Buffer Dimensioning
8 TX_MIN_DEPTH : positive := 16;
9 TX_ESTATE_BITS : natural := 0;
10 RX_MIN_DEPTH : positive := 16;
11 RX_FSTATE_BITS : natural := 0;
12
13 -- Flow Control
14 FLOWCONTROL : T_IO_UART_FLOWCONTROL_KIND := UART_FLOWCONTROL_NONE;
15 SWFC_XON_CHAR : std_logic_vector(7 downto 0) := x"11"; -- ^Q
16 SWFC_XON_TRIGGER : real := 0.0625;
17 SWFC_XOFF_CHAR : std_logic_vector(7 downto 0) := x"13"; -- ^S
18 SWFC_XOFF_TRIGGER : real := 0.75
19 );
20 port (
21 Clock : in std_logic;
22 Reset : in std_logic;
23
24 -- FIFO interface
25 TX_put : in std_logic;
26 TX_Data : in std_logic_vector(7 downto 0);
27 TX_Full : out std_logic;
28 TX_EmptyState : out std_logic_vector(imax(0, TX_ESTATE_BITS-1) downto 0);
29
30 RX_Valid : out std_logic;
31 RX_Data : out std_logic_vector(7 downto 0);
32 RX_got : in std_logic;
33 RX_FullState : out std_logic_vector(imax(0, RX_FSTATE_BITS-1) downto 0);
34 RX_Overflow : out std_logic;
35
36 -- External pins
37 UART_TX : out std_logic;
38 UART_RX : in std_logic;
39 UART_RTS : out std_logic;
40 UART_CTS : in std_logic
41 );
42end entity;