PoC.net.udp.Wrapper

Todo

No documentation available.

Entity Declaration:

 1  generic (
 2    DEBUG                             : boolean                     := FALSE;
 3    IP_VERSION                        : positive                    := 6;
 4    PORTPAIRS                         : T_NET_UDP_PORTPAIR_VECTOR   := (0 => (x"0000", x"0000"))
 5  );
 6  port (
 7    Clock                             : in  std_logic;
 8    Reset                             : in  std_logic;
 9    -- from IP layer
10    IP_TX_Valid                       : out std_logic;
11    IP_TX_Data                        : out T_SLV_8;
12    IP_TX_SOF                         : out std_logic;
13    IP_TX_EOF                         : out std_logic;
14    IP_TX_Ack                         : in  std_logic;
15    IP_TX_Meta_rst                    : in  std_logic;
16    IP_TX_Meta_SrcIPAddress_nxt       : in  std_logic;
17    IP_TX_Meta_SrcIPAddress_Data      : out T_SLV_8;
18    IP_TX_Meta_DestIPAddress_nxt      : in  std_logic;
19    IP_TX_Meta_DestIPAddress_Data     : out T_SLV_8;
20    IP_TX_Meta_Length                 : out T_SLV_16;
21    -- to IP layer
22    IP_RX_Valid                       : in  std_logic;
23    IP_RX_Data                        : in  T_SLV_8;
24    IP_RX_SOF                         : in  std_logic;
25    IP_RX_EOF                         : in  std_logic;
26    IP_RX_Ack                         : out std_logic;
27    IP_RX_Meta_rst                    : out std_logic;
28    IP_RX_Meta_SrcMACAddress_nxt      : out std_logic;
29    IP_RX_Meta_SrcMACAddress_Data     : in  T_SLV_8;
30    IP_RX_Meta_DestMACAddress_nxt     : out std_logic;
31    IP_RX_Meta_DestMACAddress_Data    : in  T_SLV_8;
32    IP_RX_Meta_EthType                : in  T_SLV_16;
33    IP_RX_Meta_SrcIPAddress_nxt       : out std_logic;
34    IP_RX_Meta_SrcIPAddress_Data      : in  T_SLV_8;
35    IP_RX_Meta_DestIPAddress_nxt      : out std_logic;
36    IP_RX_Meta_DestIPAddress_Data     : in  T_SLV_8;
37--    IP_RX_Meta_TrafficClass           : in  T_SLV_8;
38--    IP_RX_Meta_FlowLabel              : in  T_SLV_24;
39    IP_RX_Meta_Length                 : in  T_SLV_16;
40    IP_RX_Meta_Protocol               : in  T_SLV_8;
41    -- from upper layer
42    TX_Valid                          : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
43    TX_Data                           : in  T_SLVV_8(PORTPAIRS'length - 1 downto 0);
44    TX_SOF                            : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
45    TX_EOF                            : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
46    TX_Ack                            : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
47    TX_Meta_rst                       : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
48    TX_Meta_SrcIPAddress_nxt          : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
49    TX_Meta_SrcIPAddress_Data         : in  T_SLVV_8(PORTPAIRS'length - 1 downto 0);
50    TX_Meta_DestIPAddress_nxt         : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
51    TX_Meta_DestIPAddress_Data        : in  T_SLVV_8(PORTPAIRS'length - 1 downto 0);
52    TX_Meta_SrcPort                   : in  T_SLVV_16(PORTPAIRS'length - 1 downto 0);
53    TX_Meta_DestPort                  : in  T_SLVV_16(PORTPAIRS'length - 1 downto 0);
54    TX_Meta_Length                    : in  T_SLVV_16(PORTPAIRS'length - 1 downto 0);
55    -- to upper layer
56    RX_Valid                          : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
57    RX_Data                           : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
58    RX_SOF                            : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
59    RX_EOF                            : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
60    RX_Ack                            : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
61    RX_Meta_rst                       : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
62    RX_Meta_SrcMACAddress_nxt         : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
63    RX_Meta_SrcMACAddress_Data        : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
64    RX_Meta_DestMACAddress_nxt        : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
65    RX_Meta_DestMACAddress_Data       : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
66    RX_Meta_EthType                   : out T_SLVV_16(PORTPAIRS'length - 1 downto 0);
67    RX_Meta_SrcIPAddress_nxt          : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
68    RX_Meta_SrcIPAddress_Data         : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
69    RX_Meta_DestIPAddress_nxt         : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
70    RX_Meta_DestIPAddress_Data        : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
71--    RX_Meta_TrafficClass              : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
72--    RX_Meta_FlowLabel                 : out T_SLVV_24(PORTPAIRS'length - 1 downto 0);
73    RX_Meta_Length                    : out T_SLVV_16(PORTPAIRS'length - 1 downto 0);
74    RX_Meta_Protocol                  : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
75    RX_Meta_SrcPort                   : out T_SLVV_16(PORTPAIRS'length - 1 downto 0);
76    RX_Meta_DestPort                  : out T_SLVV_16(PORTPAIRS'length - 1 downto 0)
77  );
78end entity;