PoC.net.FrameChecksum
Todo
No documentation available.
Entity Declaration:
1 generic (
2 MAX_FRAMES : positive := 8;
3 MAX_FRAME_LENGTH : positive := 2048;
4 META_BITS : T_POSVEC := (0 => 8);
5 META_FIFO_DEPTH : T_POSVEC := (0 => 16)
6 );
7 port (
8 Clock : in std_logic;
9 Reset : in std_logic;
10 -- IN port
11 In_Valid : in std_logic;
12 In_Data : in T_SLV_8;
13 In_SOF : in std_logic;
14 In_EOF : in std_logic;
15 In_Ack : out std_logic;
16 In_Meta_rst : out std_logic;
17 In_Meta_nxt : out std_logic_vector(META_BITS'length - 1 downto 0);
18 In_Meta_Data : in std_logic_vector(isum(META_BITS) - 1 downto 0);
19 -- OUT port
20 Out_Valid : out std_logic;
21 Out_Data : out T_SLV_8;
22 Out_SOF : out std_logic;
23 Out_EOF : out std_logic;
24 Out_Ack : in std_logic;
25 Out_Meta_rst : in std_logic;
26 Out_Meta_nxt : in std_logic_vector(META_BITS'length - 1 downto 0);
27 Out_Meta_Data : out std_logic_vector(isum(META_BITS) - 1 downto 0);
28 Out_Meta_Length : out T_SLV_16;
29 Out_Meta_Checksum : out T_SLV_16
30 );
31end entity;