PoC.net.FrameLoopback

Todo

No documentation available.

Entity Declaration:

 1  generic (
 2    DATA_BW                   : positive        := 8;
 3    META_BW                   : natural         := 0
 4  );
 5  port (
 6    Clock                     : in  std_logic;
 7    Reset                     : in  std_logic;
 8
 9    In_Valid                  : in  std_logic;
10    In_Data                   : in  std_logic_vector(DATA_BW - 1 downto 0);
11    In_Meta                   : in  std_logic_vector(META_BW - 1 downto 0);
12    In_SOF                    : in  std_logic;
13    In_EOF                    : in  std_logic;
14    In_Ack                    : out std_logic;
15
16
17    Out_Valid                 : out std_logic;
18    Out_Data                  : out std_logic_vector(DATA_BW - 1 downto 0);
19    Out_Meta                  : out std_logic_vector(META_BW - 1 downto 0);
20    Out_SOF                   : out std_logic;
21    Out_EOF                   : out std_logic;
22    Out_Ack                   : in  std_logic
23  );
24end entity;