PoC.net.mac.TX_SrcMAC_Prepender
Todo
No documentation available.
Entity Declaration:
1 generic (
2 DEBUG : boolean := FALSE;
3 MAC_ADDRESSES : T_NET_MAC_ADDRESS_VECTOR := (0 => C_NET_MAC_ADDRESS_EMPTY)
4 );
5 port (
6 Clock : in std_logic;
7 Reset : in std_logic;
8 -- IN Port
9 In_Valid : in std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
10 In_Data : in T_SLVV_8(MAC_ADDRESSES'length - 1 downto 0);
11 In_SOF : in std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
12 In_EOF : in std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
13 In_Ack : out std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
14 In_Meta_rst : out std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
15 In_Meta_DestMACAddress_nxt : out std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
16 In_Meta_DestMACAddress_Data : in T_SLVV_8(MAC_ADDRESSES'length - 1 downto 0);
17 -- OUT Port
18 Out_Valid : out std_logic;
19 Out_Data : out T_SLV_8;
20 Out_SOF : out std_logic;
21 Out_EOF : out std_logic;
22 Out_Ack : in std_logic;
23 Out_Meta_rst : in std_logic;
24 Out_Meta_DestMACAddress_nxt : in std_logic;
25 Out_Meta_DestMACAddress_Data : out T_SLV_8
26 );
27end entity;