PoC.net.ipv6.RX
Todo
No documentation available.
Entity Declaration:
1 generic (
2 DEBUG : boolean := FALSE
3 );
4 port (
5 Clock : in std_logic; --
6 Reset : in std_logic; --
7 -- STATUS port
8 Error : out std_logic;
9 -- IN port
10 In_Valid : in std_logic;
11 In_Data : in T_SLV_8;
12 In_SOF : in std_logic;
13 In_EOF : in std_logic;
14 In_Ack : out std_logic;
15 In_Meta_rst : out std_logic;
16 In_Meta_SrcMACAddress_nxt : out std_logic;
17 In_Meta_SrcMACAddress_Data : in T_SLV_8;
18 In_Meta_DestMACAddress_nxt : out std_logic;
19 In_Meta_DestMACAddress_Data : in T_SLV_8;
20 In_Meta_EthType : in T_SLV_16;
21 -- OUT port
22 Out_Valid : out std_logic;
23 Out_Data : out T_SLV_8;
24 Out_SOF : out std_logic;
25 Out_EOF : out std_logic;
26 Out_Ack : in std_logic;
27 Out_Meta_rst : in std_logic;
28 Out_Meta_SrcMACAddress_nxt : in std_logic;
29 Out_Meta_SrcMACAddress_Data : out T_SLV_8;
30 Out_Meta_DestMACAddress_nxt : in std_logic;
31 Out_Meta_DestMACAddress_Data : out T_SLV_8;
32 Out_Meta_EthType : out T_SLV_16;
33 Out_Meta_SrcIPv6Address_nxt : in std_logic;
34 Out_Meta_SrcIPv6Address_Data : out T_SLV_8;
35 Out_Meta_DestIPv6Address_nxt : in std_logic;
36 Out_Meta_DestIPv6Address_Data : out T_SLV_8;
37 Out_Meta_TrafficClass : out T_SLV_8;
38 Out_Meta_FlowLabel : out T_SLV_24; --STD_LOGIC_VECTOR(19 downto 0);
39 Out_Meta_Length : out T_SLV_16;
40 Out_Meta_NextHeader : out T_SLV_8
41 );
42end entity;