PoC.net.ipv4.Wrapper
Todo
No documentation available.
Entity Declaration:
1 generic (
2 DEBUG : boolean := FALSE;
3 PACKET_TYPES : T_NET_IPV4_PROTOCOL_VECTOR := (0 => x"00")
4 );
5 port (
6 Clock : in std_logic;
7 Reset : in std_logic;
8 -- to MAC layer
9 MAC_TX_Valid : out std_logic;
10 MAC_TX_Data : out T_SLV_8;
11 MAC_TX_SOF : out std_logic;
12 MAC_TX_EOF : out std_logic;
13 MAC_TX_Ack : in std_logic;
14 MAC_TX_Meta_rst : in std_logic;
15 MAC_TX_Meta_DestMACAddress_nxt : in std_logic;
16 MAC_TX_Meta_DestMACAddress_Data : out T_SLV_8;
17 -- from MAC layer
18 MAC_RX_Valid : in std_logic;
19 MAC_RX_Data : in T_SLV_8;
20 MAC_RX_SOF : in std_logic;
21 MAC_RX_EOF : in std_logic;
22 MAC_RX_Ack : out std_logic;
23 MAC_RX_Meta_rst : out std_logic;
24 MAC_RX_Meta_SrcMACAddress_nxt : out std_logic;
25 MAC_RX_Meta_SrcMACAddress_Data : in T_SLV_8;
26 MAC_RX_Meta_DestMACAddress_nxt : out std_logic;
27 MAC_RX_Meta_DestMACAddress_Data : in T_SLV_8;
28 MAC_RX_Meta_EthType : in T_SLV_16;
29 -- to ARP
30 ARP_IPCache_Query : out std_logic;
31 ARP_IPCache_IPv4Address_rst : in std_logic;
32 ARP_IPCache_IPv4Address_nxt : in std_logic;
33 ARP_IPCache_IPv4Address_Data : out T_SLV_8;
34 -- from ARP
35 ARP_IPCache_Valid : in std_logic;
36 ARP_IPCache_MACAddress_rst : out std_logic;
37 ARP_IPCache_MACAddress_nxt : out std_logic;
38 ARP_IPCache_MACAddress_Data : in T_SLV_8;
39 -- from upper layer
40 TX_Valid : in std_logic_vector(PACKET_TYPES'length - 1 downto 0);
41 TX_Data : in T_SLVV_8(PACKET_TYPES'length - 1 downto 0);
42 TX_SOF : in std_logic_vector(PACKET_TYPES'length - 1 downto 0);
43 TX_EOF : in std_logic_vector(PACKET_TYPES'length - 1 downto 0);
44 TX_Ack : out std_logic_vector(PACKET_TYPES'length - 1 downto 0);
45 TX_Meta_rst : out std_logic_vector(PACKET_TYPES'length - 1 downto 0);
46 TX_Meta_SrcIPv4Address_nxt : out std_logic_vector(PACKET_TYPES'length - 1 downto 0);
47 TX_Meta_SrcIPv4Address_Data : in T_SLVV_8(PACKET_TYPES'length - 1 downto 0);
48 TX_Meta_DestIPv4Address_nxt : out std_logic_vector(PACKET_TYPES'length - 1 downto 0);
49 TX_Meta_DestIPv4Address_Data : in T_SLVV_8(PACKET_TYPES'length - 1 downto 0);
50 TX_Meta_Length : in T_SLVV_16(PACKET_TYPES'length - 1 downto 0);
51 -- to upper layer
52 RX_Valid : out std_logic_vector(PACKET_TYPES'length - 1 downto 0);
53 RX_Data : out T_SLVV_8(PACKET_TYPES'length - 1 downto 0);
54 RX_SOF : out std_logic_vector(PACKET_TYPES'length - 1 downto 0);
55 RX_EOF : out std_logic_vector(PACKET_TYPES'length - 1 downto 0);
56 RX_Ack : in std_logic_vector(PACKET_TYPES'length - 1 downto 0);
57 RX_Meta_rst : in std_logic_vector(PACKET_TYPES'length - 1 downto 0);
58 RX_Meta_SrcMACAddress_nxt : in std_logic_vector(PACKET_TYPES'length - 1 downto 0);
59 RX_Meta_SrcMACAddress_Data : out T_SLVV_8(PACKET_TYPES'length - 1 downto 0);
60 RX_Meta_DestMACAddress_nxt : in std_logic_vector(PACKET_TYPES'length - 1 downto 0);
61 RX_Meta_DestMACAddress_Data : out T_SLVV_8(PACKET_TYPES'length - 1 downto 0);
62 RX_Meta_EthType : out T_SLVV_16(PACKET_TYPES'length - 1 downto 0);
63 RX_Meta_SrcIPv4Address_nxt : in std_logic_vector(PACKET_TYPES'length - 1 downto 0);
64 RX_Meta_SrcIPv4Address_Data : out T_SLVV_8(PACKET_TYPES'length - 1 downto 0);
65 RX_Meta_DestIPv4Address_nxt : in std_logic_vector(PACKET_TYPES'length - 1 downto 0);
66 RX_Meta_DestIPv4Address_Data : out T_SLVV_8(PACKET_TYPES'length - 1 downto 0);
67 RX_Meta_Length : out T_SLVV_16(PACKET_TYPES'length - 1 downto 0);
68 RX_Meta_Protocol : out T_SLVV_8(PACKET_TYPES'length - 1 downto 0)
69 );
70end entity;