PoC.misc.stat.Minimum

Todo

No documentation available.

Entity Declaration:

 1  generic (
 2    DEPTH         : positive    := 8;
 3    DATA_BITS     : positive    := 16;
 4    COUNTER_BITS  : positive    := 16
 5  );
 6  port (
 7    Clock         : in  std_logic;
 8    Reset         : in  std_logic;
 9
10    Enable        : in  std_logic;
11    DataIn        : in  std_logic_vector(DATA_BITS - 1 downto 0);
12
13    Valids        : out std_logic_vector(DEPTH - 1 downto 0);
14    Minimums      : out T_SLM(DEPTH - 1 downto 0, DATA_BITS - 1 downto 0);
15    Counts        : out T_SLM(DEPTH - 1 downto 0, COUNTER_BITS - 1 downto 0)
16  );
17end entity;