PoC.misc.stat.Histogram
Todo
No documentation available.
Entity Declaration:
1 generic (
2 DATA_BITS : positive := 16;
3 COUNTER_BITS : positive := 16
4 );
5 port (
6 Clock : in std_logic;
7 Reset : in std_logic;
8
9 Enable : in std_logic;
10 DataIn : in std_logic_vector(DATA_BITS - 1 downto 0);
11
12 Histogram : out T_SLM(2**DATA_BITS - 1 downto 0, COUNTER_BITS - 1 downto 0)
13 );
14end entity;