PoC.misc.stat.Average

Todo

No documentation available.

Entity Declaration:

 1  generic (
 2    DATA_BITS     : positive    := 8;
 3    COUNTER_BITS  : positive    := 16
 4  );
 5  port (
 6    Clock         : in  std_logic;
 7    Reset         : in  std_logic;
 8
 9    Enable        : in  std_logic;
10    DataIn        : in  std_logic_vector(DATA_BITS - 1 downto 0);
11
12    Count         : out std_logic_vector(COUNTER_BITS - 1 downto 0);
13    Sum           : out std_logic_vector(COUNTER_BITS - 1 downto 0);
14    Average       : out std_logic_vector(COUNTER_BITS - 1 downto 0);
15    Valid         : out std_logic
16  );
17end entity;