PoC.io.pmod.USBUART

This module abstracts a FTDI FT232R USB-UART bridge by instantiating a PoC.io.uart.fifo. The FT232R supports up to 3 MBaud. A synchronous FIFO interface with a 32 words buffer is provided. Hardware flow control (RTS_CTS) is enabled.

Entity Declaration:

 1  generic (
 2    CLOCK_FREQ    : FREQ    := 100 MHz;
 3    BAUDRATE      : BAUD    := 115200 Bd
 4  );
 5  port (
 6    Clock     : in  std_logic;
 7    Reset     : in  std_logic;
 8
 9    TX_put    : in  std_logic;
10    TX_Data   : in  std_logic_vector(7 downto 0);
11    TX_Full   : out std_logic;
12
13    RX_Valid  : out std_logic;
14    RX_Data   : out std_logic_vector(7 downto 0);
15    RX_got    : in  std_logic;
16
17    UART_TX   : out std_logic;
18    UART_RX   : in  std_logic;
19    UART_RTS  : out std_logic;
20    UART_CTS  : in  std_logic
21  );
22end entity;