PoC.bus.stream.Buffer

This module implements a generic buffer (FIFO) for the PoC.Stream protocol. It is generic in DATA_BITS and in META_BITS as well as in FIFO depths for data and meta information.

Entity Declaration:

 1  generic (
 2    FRAMES            : positive                                                := 2;
 3    DATA_BITS         : positive                                                := 8;
 4    DATA_FIFO_DEPTH   : positive                                                := 8;
 5    META_BITS         : T_POSVEC                                                := (0 => 8);
 6    META_FIFO_DEPTH   : T_POSVEC                                                := (0 => 16)
 7  );
 8  port (
 9    Clock             : in  std_logic;
10    Reset             : in  std_logic;
11    -- IN Port
12    In_Valid          : in  std_logic;
13    In_Data           : in  std_logic_vector(DATA_BITS - 1 downto 0);
14    In_SOF            : in  std_logic;
15    In_EOF            : in  std_logic;
16    In_Ack            : out std_logic;
17    In_Meta_rst       : out std_logic;
18    In_Meta_nxt       : out std_logic_vector(META_BITS'length - 1 downto 0);
19    In_Meta_Data      : in  std_logic_vector(isum(META_BITS) - 1 downto 0);
20    -- OUT Port
21    Out_Valid         : out std_logic;
22    Out_Data          : out std_logic_vector(DATA_BITS - 1 downto 0);
23    Out_SOF           : out std_logic;
24    Out_EOF           : out std_logic;
25    Out_Ack           : in  std_logic;
26    Out_Meta_rst      : in  std_logic;
27    Out_Meta_nxt      : in  std_logic_vector(META_BITS'length - 1 downto 0);
28    Out_Meta_Data     : out std_logic_vector(isum(META_BITS) - 1 downto 0)
29  );
30end entity;