PoC.mem.ocrom.sp
Inferring / instantiating single-port read-only memory
single clock, clock enable
1 read port
Entity Declaration:
1 D_BITS : positive;
2 FILENAME : string := ""
3 );
4 port (
5 clk : in std_logic;
6 ce : in std_logic;
7 a : in unsigned(A_BITS-1 downto 0);
8 q : out std_logic_vector(D_BITS-1 downto 0)
9 );
10end entity;
11
12
13architecture rtl of ocrom_sp is